找回密码
 立即注册
搜索
查看: 285|回复: 0

基于FPGA的TMDS编码

[复制链接]

271

主题

9

回帖

2万

积分

版主

Rank: 7Rank: 7Rank: 7

积分
23210
发表于 2024-4-9 15:30:46 | 显示全部楼层 |阅读模式
本帖最后由 眼镜一米二 于 2024-4-9 15:32 编辑

叁芯智能科技FPGA就业班开班中


     在我们之前的学习中,了解到HDMI是一种全数字化视频和声音发送接口,可以发送音频以及视频信号。HDMI向下兼容DVI,DVI只能传输视频信号。HDMI和DVI接口协议在物理层均使用TMDS标准来传输音频或视频信号,接下来就着重了解一下TMDS编码。

     TMDS(最小化传输差分信号)中,有四个通道,其中包含了三个数据通道和一个时钟通道。其中数据通道用来传输颜色、音频、控制等信号。HDMI默认使用RGB(RGB888)三个数据通道,当然也可以是亮度和色度信息(YCrCb,4:4:4或者4:2:2)。


     上图为HDMI的链接框架;

     通道0传输的数据为:B分量的视频数据、行场同步信号、音频信号。

     通道0传输的数据为:G分量的视频数据、控制信号、音频信号。通道0传输的数据为:R分量的视频数据、控制信号、音频信号。不同的数据在TMDS数据通道中在三种不同的周期中发送。




     在TMDS传输标准中,不论是视频信号、控制信号还是辅助信号,都是以10bit的数据传输,所以需要对这三个信号进行编码,分别采用不同的编码方式。






     在此,我们着重说一下视频编码,在Xilinx官方给出的一个编码示意图中,我们可以清楚整个的编码流程:






     图中也体现出了控制信号的编码方式:






会对应特定的四个值中的一个。


编码如下:




     编码完成后,对数据我们需要进行并串转换,此操作我们可以使用原语OSERDES2实现10-to-1的过程。最后用OBUFDS将串行数据转换为差分信号输出即可。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?立即注册

x
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|冀ICP备20011060号-2|叁芯智能

GMT+8, 2024-4-30 07:56 , Processed in 0.040260 second(s), 20 queries .

Powered by Discuz! X3.4

© 2017-2018

快速回复 返回顶部 返回列表