找回密码
 立即注册
搜索
查看: 735|回复: 0

FPGA零基础学习之Vivado-按键使用教程

[复制链接]

271

主题

9

回帖

2万

积分

版主

Rank: 7Rank: 7Rank: 7

积分
23185
发表于 2023-6-13 17:18:31 | 显示全部楼层 |阅读模式

叁芯智能科技FPGA就业班06月开班中


大侠好,欢迎来到FPGA技术江湖。本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。


系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。本次带来Vivado系列,按键的使用教程。话不多说,上货。



按键的使用教程

按键是我们使用比较多的器件之一,其结构简单,使用方便。在玩具、家电等方面有广泛的应用。


按键是一种应用比较多的一种电子开关,在我们开发板上有两种按键开关:第一种是本章节需要讲解的轻触开关,第二种是自锁按键,按键按下后保持自锁状态,整个按键处于一直连通状态,再次按下,开关断开,同时开关按钮弹出。



本次我们实验任务,将使用按键控制LED灯点亮,当按键按下时,LED灯亮,松开熄灭。


我们的按键是共阴极设计,电路图如下:



分析电路可知,当我们的按键没有按下时,FPGA管脚为高电平,按键按下,FPGA管脚被短路,电平为低电平。所以我们的按键按下为低松开为高。那么在我们设计实验逻辑时,可以用按键的低电平控制LED灯点亮。









对应的XDC约束语句如下:






我们按键信号作为控制信号来使用,但是我们不能直接去使用,因为轻触按键在按下或者松开时,信号非常不稳定,会导致在按键没有完全按下或者松开时,信号出现很多的毛刺,这样的信号不能作为我们的控制信号,所以我们在写控制逻辑之前,我们首先需要对按键做一下消抖处理,实现信号稳定。我们处理的原理是,当信号一直处于低电平或者高电平一段时间后,我们默认信号处于稳定状态,这个时间我们暂定为10ms。


接下来我们先进行新建工程,首先点击create project。



然后在打开的界面修改工程名字为key_led。



保存位置确定后,点击next。



我们选择RTL Project,点击next。



我们在搜索窗口搜索我们板子的芯片型号,确定好之后点击next。









点击Finish完成新建工程。新建完成之后,我们开始根据前面我们所讲的理论开始写代码。






点击上图红色方框的加号开始新建代码文件。








点击next。选择创建文件。








比如我们新建顶层文件,名字为key_led。










点击OK之后开始写代码,代码内容如下:






接下来是按键控制LED的逻辑,当按键为低电平时,LED灯点亮。代码如下:






两个模块做好之后,我们在顶层文件中例化子模块:






逻辑控制写好之后,我们做一下仿真,在仿真中,我们为了快速看到现象,我们将参数修改的小一些。代码如下:






仿真波形:






Flag信号等效按键,flag拉低时,LED灯为高电平,此时灯亮。







本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?立即注册

x
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|冀ICP备20011060号-2|叁芯智能

GMT+8, 2024-4-29 10:11 , Processed in 0.041764 second(s), 20 queries .

Powered by Discuz! X3.4

© 2017-2018

快速回复 返回顶部 返回列表