找回密码
 立即注册
搜索
查看: 2126|回复: 0

深度分析 | 5G时代下的FPGA

[复制链接]

235

主题

22

回帖

5582

积分

版主

Rank: 7Rank: 7Rank: 7

积分
5582
发表于 2020-12-9 17:55:04 | 显示全部楼层 |阅读模式
深度分析 | 5G时代下的FPGAFPGA是一种半定制电路,主要应用于专用集成电路,在航空航天/国防、消费电子、电子通讯等领域有着不可替代的位置。在FPGA的下游应用中,通信占据最大的细分市场,约可达60%左右。目前,5G宏基站中都就有使用FPGA,且市场需求急剧增涨,原因如下:
1、由于5G通道数大幅增加,单站FPGA用量相应增加。
2、中国5G商用进度全球领先,且我国每代移动通信技术大规模资本开支一般集中于商用前几年,因此,当前FPGA较4G时代将占据更重要地位。
3、由于5G应用频段较高,5G基站数量或将达到4G的1.5倍。另外,随着2022年后“5G下半场”毫米波技术成熟,小基站的数量规模有望达到千万级。
4、5G需满足的业务场景将远超1G~4G,5G设备将面对更复杂的物理协议、算法,对逻辑控制、接口速率要求提高。
如今,华为已成为FPGA的最大客户。华为两年前即提供了世界上最早的5G电信设备解决方案,相较于其他供应商处于明显优势,但中美之间最近的紧张关系很可能会导致产于美国的FPGA影响华为提供5G电信设备。


那么FPGA的庐山真面目是啥?

FPGA 是可以先购买再设计的“万能”芯片。FPGA (Field Programmable Gate Array)现场可编程门阵列,是在硅片上预先设计实现的具有可编程特性的集成电路,它能够按照设计人员的需求配置为指定的电路结构,让客户不必依赖由芯片制造商设计和制造的 ASIC 芯片。


Altera LUT4 架构
FPGA 硬件三大指标:制程、门级数及 SERDES 速率,配套 EDA 软件工具同样重要。比较 FPGA 产品可以从技术指标入手。从 FPGA 内部结构来看,主要包括:可编程输入/输出单元(I/O)、可编程逻辑块(LC)、 完整的时钟管理(CMT)、嵌入块式 RAM(BRAM)、布线资源、内嵌的底层功能单元和专用硬件模块等。
根据赛灵思披露的数据,一个 LUT6 等效 1.6 个 LC,一个 LC 对应几十到上百“门”,1000 万门约等于 10 万 LC,即 100K CLB 级别 FPGA。与 ASIC 不同的是,客户在选购 FPGA 产品不仅考虑硬件参数,配套 EDA 软件的性能也同样重要。目前国内厂商高端产品在硬件性能指标上均与赛灵思高端产品有较大差距。
相对于ASIC,FPGA具有3点优势:
  • 1、可编辑,更灵活
  • 2、产品上市时间短,节省了 ASIC 流片周期
  • 3、避免一次性工程费用,用量较小时具有成本优势。


1)灵活性:通过对 FPGA 编程,FPGA 能够执行 ASIC 能够执行的任何逻辑功能。FPGA 的独特优势在于其灵活性,即随时可以改变芯片功能,在技术还未成熟的阶段,这种特性能够降低产品的成本与风险,在 5G 初期这种特性尤为重要。

2)上市时间:由于 FPGA 买来编程后既可直接使用,FPGA 方案无需等待三个月至一年的芯片流片周期,为企业争取了产品上市时间。

3)成本:FPGA 与 ASIC 主要区别在 ASIC 方案有固定成本而 FPGA 方案几乎没有,在使用量小的时候,FPGA 方案由于无需支付一次性百万美元的流片成本,同时也不用承担流片失败风险,FPGA 方案的成本低于 ASIC,随着使用量的增加,FPGA 方案在成本上的优势逐渐缩小,超过某一使用量后,ASIC 方案由于大量流片产生了规模经济,在成本上更有优势。

FPGA 方案和 ASIC 方案成本比较
4)技术趋势:制程迭代驱动 33 年发展,平台型产品是未来。

1985 年赛灵思发明 FPGA 以来,其容量提高了一万倍以上,速度提高了一百倍以上,价格和能耗缩小了一千倍以上。受到先进制程迭代的推动,FPGA 的架构不断更新。1985 年,Xilinx 公司推出了全球第一款 FPGA 产品 XC2064,采用 2μm 工艺,包含 64 个逻辑模块和 85,000 个晶体管,门数量不超过 1000 个。对比 2016 年赛灵思发布的 VIRTEX UltraScale,16nm 制程,系统逻辑单元最高达378 万个。FPGA 制程迭代在提高算力的同时降低了功耗,减小了芯片面积,推动了 FPGA 的性能提升。
未来,在技术趋势上,制程迭代+平台产品将是未来产品发展方向。我们仍然看好先进制程带给 FPGA 的性能提升,同时新的产品形态(平台型产品)的出现让FPGA 性能有了进一步提升的可能。

1


技术、专利、人才壁垒高,国产替代迎难而上

FPGA是一个技术壁垒高的行业,有人认为FPGA公司就是半个 IC 设计公司+半个软件公司,硬件结构复杂且良率低,软硬协同再提研发难度。FPGA 企业的硬件开发部分属于典型的 IC 设计企业,与一般 IC设计企业不同的是,由于 FPGA 硬件需要配套 EDA 软件一起使用,FPGA 公司通常需要自行研发适配自家硬件的 EDA 软件,因此也算半个 EDA 软件公司。由于FPGA 版图及布线复杂,硬件设计难度较大,加之软件和硬件协同开发,系统工程的难度再升级。
核心专利被头部公司垄断,国产厂商披荆斩棘艰难前行,专利有效期结束或带来转机。在专利上国外厂商目前占据绝对优势,Xilinx 和 Altera (Intel)在 FPGA 领域的专利数近 10,000 个,而国产厂商如紫光同创专利数仅约 200 项,相差悬殊。未来随着部分专利的有效期结束,及国产厂商在新专利上的突破,专利上的垄断格局或迎来转机。
半导体产业链国产化程度低,硬件自主可控进程难以阻挡,国产当自强。产业链角度来看,硬件产业链中目前自主可控程度较低,尤其在高端半导体设备和材料领域,未来产业链上下游国产替代进程的推进也将助力国产 FPGA 加速发展。
硬件部分上游:EDA+IP。硬件开发用的EDA仍是Cadence、Synopsys及 MentorGraphics,IP来源包括外部授权和内部开发。
硬件部分下游:代工厂+封测。其中代工厂国内厂商主要与台积电及中芯国际合作,封测主要和日月光等合作。
FPGA 硬件产业链



2


全球 63 亿美元市场,Xilinx 与 Intel双寡头

FPGA 是集成电路大产业中的小领域,5G 和 AI 为行业增长提供确定性,国产替代叠加行业增长,国产 FPGA 市场腾飞在即。根据 WSTS 的数据,2018 年全球集成电路市场规模达到 4,688 亿美元,同期全球 FPGA 市场规模约 63 亿美元,仅占集成电路市场约 1.34%。市场虽小,但未来受益于 5G 基础设施全球布局及 AI技术持续发展,FPGA 行业需求量增长具确定性。行业增长下,国产替代进程将进一步加速国产 FPGA 的增长。根据中国半导体行业协会的数据,2017 年国内FPGA 市场国产率低于 1%,随着技术突破,国产 FPGA 腾飞在即。

全球 FPGA 市场规模持续攀升,亚太是 FPGA 主要市场,未来产业发展可期。根据 Gartner 的数据,全球 FPGA 市场规模 2019 年达到 69 亿美元,2025 年达到 125亿美元,未来市场增速稳中有升。亚太区占比达到 42%,是 FPGA 主要市场,中国 FPGA 市场规模约 100 亿人民币,未来随着中国 5G 部署及 AI 技术发展,国内FPGA 规模有望进一步扩大。

国外三巨头占据 90%全球市场,国产厂商暂时落后。FPGA 市场呈现双寡头垄断格局,Xilinx 和 Intel 分别占据全球市场 56%和 31%,在中国 FPGA 市场中,占比也高达 52%和 28%,由于技术、资金、人才上的壁垒及 FPGA 量产带来的规模经济,行业领导者地位较为稳定。
全球 FPGA 市场份额按公司分布
国产厂商目前在中国市场占比约 4%,未来随着国产厂商技术突破,FPGA 领域国产替代或将是百亿级的机会,替代空间广阔。
中国 FPGA 市场份额按公司分布


3

5G+AI 催化行业增长,下游需求欲乘风而起

通信、消费电子、汽车是 FPGA 下游存量应用场景,市场规模持续增长。由于相对于 ASIC 的三方面优势(灵活性、上市时间、成本),FPGA 的下游应用场景较为丰富,包括:ASIC 原型设计、汽车、收发器、消费电子、数据中心、高性能计算、工业、医疗、测试/测量、有线/无线通信等。其中通信、消费电子和汽车是主要应用场景,2017 年三块场景 FPGA 需求占总需求比例达到 79.4%,市场规模持续扩大。
FPGA 市场规模按下游应用场景分
应用场景会保持分散的格局,ASIC 在成本上的规模经济限制了 FPGA 应用场景的集中度,FPGA 不可替代性奠定市场基础。由于 ASIC 具有明显的规模经济,当单一芯片产品用量极大时(一般为超过 10 万片),使用 ASIC 方案将有成本优势,因此 FPGA 的应用场景被限制在了用量小、技术不稳定、灵活性需求高的领域,一旦技术成熟且需求放量,终端厂商就会考虑 ASIC 方案替代 FPGA 方案来降低成本。
但由于 FPGA 在用量小、技术不稳定及灵活性需求高的领域具有不可替代性,FPGA 的市场基础稳固,未来量的增长主要看新技术带来的新周期。
国产 FPGA 厂商产品下游应用场景更偏重消费电子,未来在通信市场的增长值得期待。根据 Xilinx 的年报,2019 财年(财年结日为 2019 年 3 月 30 日)其产品终端应用场景及占比为通信(36%)、工业航空及国防(28%)、数据中心及封测医疗仿真(20%)、汽车广播及消费电子(15%)。而国产 FPGA 厂商由于产品性能相对落后,在高端民用领域暂时不具有竞争力,但在 LED 显示、工业等领域出货量较大。随着国内厂商技术突破,未来在通信市场份额的提高将开启国产 FPGA厂商增长。
1)全球 5G 浪潮席卷而来,FPGA 量价齐升在即
FPGA 是 5G 基础设施和终端设备的零部件,5G 全球部署持续推进,基站、IoT、终端设备、边缘计算的 FPGA 用量将显著提升。通信是 FPGA 下游应用场景中规模最大的分支,根据 MRFR 的数据,FPGA 通信市场规模 2017 年达 23.5 亿美元,占整体 FPGA 应用市场比例超 40%,2017-2025 年 CAGR 预计 8%。
全球 5G 基础设施建设进程下,FPGA 作为核心零部件,用量也会随之提升,5G 应用中,IoT、终端设备及边缘计算领域的 FPGA 需求也将增长。未来在通信市场的增长具有确定性。
相比较 CPU 和 GPU,通信领域 FPGA 在 I/O 、运算速度及延迟上均具有优势。在 5G 建设初期,FPGA 可以被用于基站天线的收发器中,5G 时代 Massive MIMO技术让收发通道数从 16T16R 提高到 64T64R 甚至 128T128R,FPGA 可以用于多通道信号波束成形。目前业界在 FPGA 和 ASIC 方案的选择上具有差异,京信通信等公司采用更加灵活的 FPGA 方案。
64 通道毫米波 MIMO 全 DBF 收发器简化框图
以一个 64 通道毫米波 MIMO 全 DBF 收发器为例,如图收发器分为两部分,左半部分为中频&基带子系统,包含 Xilinx 的 Kintex-7 FPGA;右半部分为毫米波收发器射频前端,包含中频振荡器及电源管理模组等。每一个基带子板(baseband daughter board)中都具有一块 Xilinx’s Kintex-7 FPGA,2 个双通道 12-bit ADC,1 个4 通道 16-bit DAC,2 个 QSFP+光接口用于通用无线接入。
5G时代,FPGA 面临价提量升。

前提:FPGA 主要用在收发器的基带中,5G 时代由于通道数的增加,计算复杂度增加,所用 FPGA 的规模将增加,由于 FPGA 的定价与片上资源正相关,未来通信领域 FPGA 单颗成本也将上升,目前基站收发器中的 FPGA 单价通常在几百元人民币的范围,未来有望进一步提高。收发器的主要成本和功耗由基带部分贡献,未来技术复杂度将再次推升收发器成本,进而传导到基站 AAU 价格上升。
量增:5G 带来的出货量提高来源于两方面,1. 通信基站数量提高带动 FPGA 零部件用量提高。5G 初期基站铺设数量环比提高,另一方面由于 5G 信号衰减较快,小基站需求量巨大,未来十年有望超 1000 万座,同比 4G 时期增长明显。2. 单基站 FPGA 用量提高带动 FPGA 通信市场用量整体提高。由于 5G Massive MIMO的高并发处理需求,单基站 FPGA 用量有望从 4G 时期 2-3 块提高到 5G 时期 4-5块,将带动 FPGA 整体用量。
2)自动驾驶渐成熟,增量空间大门打开
汽车半导体 389 亿市场规模,FPGA 目前仅占 2.4%,自动驾驶发展将提高 FPGA价值占比。汽车芯片分为主控芯片和功能芯片(MCU)。主控芯片包括 GPU、FPGA、ASIC 等,FPGA 在汽车多个领域都有应用,尤其在相机和传感器中的应用已经相对成熟。
汽车半导体市场规模
根据 Bloomberg 数据,汽车半导体市场规模 2017 年达到 388.6 亿美元,其中 FPGA 为 9.5 亿美元,占比仅 2.44%。FPGA 依托其灵活性及并行处理能力,在汽车的摄像头及激光雷达领域应用广泛。自动驾驶技术的发展将提高 FPGA 在汽车半导体中的价值占比。
汽车半导体市场份额
FPGA 巨头抢道智能驾驶,L5 级产品值得期待。目前赛灵思布局主要在 L1-L3,NVIDIA 和 Intel 的最新系列产品剑指 L5 完全自动驾驶。但是由于政策影响加上自动驾驶事故频发,即使技术达到 L5 级别,仍无法在全球道路上实现 L5 操作。
2018 年赛灵思完成对深鉴科技的收购后进一步加强其在汽车领域的布局。目前赛灵思的 FPGA 自动驾驶解决方案的客户包括百度、海康威视、中科慧眼、元橡科技、极目科技等。截至 2018 年,赛灵思的产品在 29 个 OEM 品牌的 111 种车型上得到了应用。在激光雷达芯片领域,赛灵思占有约 90%的市场。
赛灵思汽车领域应用车型数持续提高
FPGA 在未来汽车中主要应用在 ADAS/AD 系统、马达控制、激光雷达、车载信息娱乐系统和驾驶员信息系统,成长空间可期。以 FPGA 巨头 Xilinx 为例,赛灵思在汽车上已经形成了自身成熟的闭环的生态系统,提供从高级驾驶员辅助驾系统(ADAS)、自动驾驶(AD)、激光雷达到车载信息娱乐系统(IVI)和驾驶员信息(DI)的全方面支持。ADAS/AD 领域是赛灵思未来中长期的增长点。而汽车级 ACAP 平台的推出则是实现自动化驾驶 L4 的基础。未来智能驾驶技术逐渐成熟,FPGA 用量提升空间巨大。
汽车领域芯片应用
3)数据中心部署 FPGA,AI 加速卡拉动 FPGA 需求
数据中心逻辑芯片是百亿美元市场,低延迟+高吞吐奠定 FPGA 核心优势。根据Intel 披露的数据,数据中心领域逻辑芯片市场规模 2017 年达 25 亿美元,2022 年有望达到 80-100 亿美元。数据中心 FPGA 主要用在硬件加速,相比 GPU,FPGA在数据中心的核心优势在于低延迟及高吞吐。
微软 Catapult 项目在数据中心使用FPGA 代替 CPU 方案后,处理 Bing 的自定义算法时快出 40 倍,加速效果显著。数据中心对芯片性能有较高要求,硬件即服务模式下,未来更多数据中心采纳FPGA 方案将提高 FPGA 在数据中心芯片中的价值占比。
AI 应用场景的 FPGA 市场规模
AI 场景中 FPGA 市场规模 2023 年有望达 52 亿美元,未来五年复合增速达 38.4%。FPGA 由于其灵活性及高速运算能力,在 AI 加速卡领域应用广泛,根据 Semico  Research 的数据,AI 领域 FPGA 市场规模 2023 年有望达 52 亿美元,相比于目前63 亿美元的 FPGA 市场,AI 领域的应用不可小觑。
FPGA 在 AI 领域处理效率及灵活性具有显著优势,未来伴随 AI 技术发展迎来增长。在加速二值化神经网络(BNN)中比较 FPGA、CPU、GPU 和 ASIC,FPGA提供了超过 CPU 和 GPU 的效率。即使 CPU 和 GPU 提供高峰理论性能,它们也没有得到有效利用,因为 BNN 依赖于更适合定制硬件的二进制 bit 级操作。尽管ASIC 仍然更高效,但 FPGA 具有更高的灵活性,无需锁定固定的 ASIC 解决方案。

4

国产替代叠加行业增长,国产厂商将进入增长快车道

全球 FPGA 市场在 5G 和 AI 两大驱动因子下未来预计享有稳健增长,而目前国内 100 亿人民币市场中,国产厂商占比仅 4%,国产率极低。未来随着国产 FPGA厂商技术突破,国产替代进程下,替代厂商增速有望显著高于行业平均,估值和业绩有望双增。我们认为国产替代仍是 FPGA 行业投资主线,建议关注国产 FPGA厂商及其相关公司的投资机会。
国内 FPGA 厂商主要有 8 家:紫光同创、国微电子、成都华微电子、安路科技、智多晶、高云半导体、上海复旦微电子和京微齐力。目前营收规模均较小,国产FPGA 目前还处于起步期,专利数和国外企业有较大差距。产品角度来看,国产FPGA 在硬件性能指标上也远落后于 Xilinx 及 Altera。
近年来国产 FPGA 虽落后但追赶进度较快,继紫光同创开发出中国唯一一款自产产权千万门级高性能 FPGA PGT180H 以来,上海复旦微电子于 2018 年 5 月在第二届中国高校科技成果交易会上发布了新一代自主知识产权亿门级 FPGA 产品,新产品,填补了国内超大规模亿门级 FPGA 的空白。未来随着更多企业技术突破,国产替代进程将持续推进。
国内 FPGA 主要玩家
之前京微齐力创始人王海力在接受半导体行业观察采访的时候也谈到,我们国产FPGA正在拉近与Lattice等厂商的距离,国产FPGA也正在逐步吞噬Lattice和一部分小容量的Xilinx/Intel的市场和客户。替代10K/20K逻辑处理和CPLD市场,在LED显示以及消费屏显等方面,国内客户也开始考虑国内FPGA厂商的芯片与方案。
对于国产FPGA的未来发展,王海则认为,国内厂商仍需坚持走自主可控+自主创新这条路,在FPGA内核、异构计算技术、芯片工艺制程与封装实现、EDA工具链与软件处理能力、以及应用软IP建设等多方面下苦功夫,逐步构建国产FPGA芯片+应用生态圈。
当前全球集成电路的发展呈现融合互动、综合竞争、跨越创新的特点,高端FPGA芯片的竞争已不单纯是FPGA芯片自身的竞争,而更多地体现在其芯片基础架构与软件工具与应用渗透上的创新,以及与FPGA芯片协同的上下游产业生态建设上的竞争。
上海安路信息科技有限公司副总经理黄志军在第三届中国(上海)集成电路产业发展高峰论坛上也表示,国产FPGA与国际厂商还有很大的水平差距。首先是制造工艺方面,国产厂商目前达到28nm,但国际厂商已达7nm;其次是硬件架构方面,国外厂商已经达到ACAP异构NOC,而国产厂商仍然是传统FPGA整列架构以及单核CP;在软件能力方面,国内目前只有两家具有商用软件全流程技术、其他都需要外购逻辑综合工具;在产品丰富度方面,国产厂商只有3个系列10余款芯片,而国外厂商已经发展到10代,拥有30个系列、数百款芯片;在应用领域方面,国产厂商仅发展到通信设备、工业控制、消费电子的部分领域,而国外厂商已经实现大部分领域通用。
黄志军总结道,中国FPGA的新起点在于,首先通信用FPGA需要最高的民用质量保证,同时低价永远不是可持续的,最后创新高性能产品才是国产厂商的目标。想要拉近与国际厂商的距离,国产厂商需要突破国产FPGA技术瓶颈,突破国产FPGA芯片的技术瓶颈突破国产FPGA的质量瓶颈。以“质量第一”为目标,当中国FPGA产业进入“质量取胜”的时代时,胜利也就来了。
高云半导体研发副总裁王添平也在同一个会议上谈到,目前国产FPGA厂商与国外巨头相比,仍然存在较大差距。但国内也有不少厂商在不断努力。虽然生产规模相对较小,但目前商用厂家及市场已经开发产品集成中在低密度或者中密度;排名前几名自主FPGA软件基本可用;出货集中在中低密度,相互竞争激烈;对国外产品有一定压力;量产良率、可靠性管控,随出货量上扬得到有效提升;有经验的研发、市场人才缺乏,大多通过挖角或培养;芯片性能接近或部分超出国外同类产品;单颗芯片出货累计百万、千万,行业中国仍然是屈指可数。
同时研究所或非商业厂家软硬件已经开始逐步进入正向开发,并且研究的器件规模较大。
王添平进一步指出,对于国产FPGA厂商来说,目前不少优秀国际人才的加盟给国产企业添加了新的活力。市场也给国产FPGA提供了千载难逢的机遇,国家政策在支持与倾斜,国内整体集成电路发展水平也在提升,因此现在正是国产FPGA厂商发展的良好机遇。但国内FPGA厂商也存在器件规模相对较小,软硬件性能有一定差距,量产管理欠缺以及人才分散的问题。王添平提出,国内FPGA厂商应该抓住国产替代存量市场,深入5G、AI边缘,消费等新需求,布局全球。同时鼓励公司创新、国际国内专利申请,逐步形成竞争力。
紫光同创市场总监吕喆在日前接受半导体行业观察采访时也强调,FPGA门槛非常高,FPGA这条赛道可以说是一条崎岖的山路,几十年来一直被少数几家美国厂商垄断。而我国FPGA起步较晚,加之国外企业在技术和专利方面的壁垒,国内在整个FPGA产业链上与国外差距依然非常大,包括在技术积累、专利数量、人才储备、制程工艺、逻辑规模、性能指标、生产和供应链能力、研发投入、生态和行业整合能力等多个方面。
在他看来,对于国内FPGA企业而言,首要任务是提升产品性能、稳定性及易用性等,不断地加快产品迭代速度,只有这样才能逐步缩小差距。作为国内FPGA领导厂商,紫光同创在高、中、低端产品都有全方位的布局,全面覆盖通信、网络安全、工业控制、数据中心、消费电子等多个应用领域,不但保障了国内企业产品研发,还在部分领域实现了国产化应用。

5


预测


我们是否可以提前10或25年预测下述发展?尽管世界在变化,但预测似乎保持不变。

1.将会有成功的CPU+ FPGA服务器芯片,或直接访问CPU缓存层次结构的FPGA。有人说会有,有人说不会有。

2. SoC(片上系统)FPGA芯片将不断发展壮大,推动医疗、下一代电信和汽车行业等。

3.开发人员将使用FPGA做一些令人惊奇的事情,让世界变得更美好,但必须掩盖内部存在FPGA的事实。

4.将保留FPGA的名字,并制造被称为FPGA的芯片,但内部的一切将完全不同。

5.当我们放弃(数据流)优化以使FPGA易于编程时,FPGA的性能将降低,因此它们将不再与CPU竞争,而CPU总是更易于编程。

6.将有具有动态路由、演进互动以及运行时灵活的数据移动的FPGA。

7.放置和布线软件以及FPGA顶部的完整软件堆栈将是开源的。Yosys和Lattice FPGA已经开始努力。

8.所有半导体架构都将与TPU、GPU、CPU、ASIC和FPGA组合成单个芯片。一些可能是每个整体的组合,其它将是每个部分的组合。

9.更多的芯片将集中在有限的应用空间上,而更少的集中在通用芯片上。从某种意义上说,一切都变成了SoC。


回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|冀ICP备20011060号-2|叁芯智能

GMT+8, 2024-4-19 22:07 , Processed in 0.042471 second(s), 19 queries .

Powered by Discuz! X3.4

© 2017-2018

快速回复 返回顶部 返回列表